As we passed that 22nm to 16nm barrier, almost all the major semiconductor fabrication companies on the leading edge transitioned from planar transistors to FinFET transistors. The benefits of FinFET were numerous, including better drive currents and lower leakage, better scalability, faster switching times, and an overall better transistor of choice for semiconductor logic. With FinFETs, and multiple rounds of improvements, the technology has scaled from Intel’s first 22nm products down to the 5nm products we will see from TSMC’s partners this year.

As expected, at some point the ability to scale a FinFET will become prohibitive, and new technologies will be needed to help continue the scaling. Research on post-FinFET transistor technology has been progressing at a break-neck pace, and most attention has been moved into ‘Gate-All-Around’ technology, which lifts the channel and allows the channel width to scale as needed for the type of transistor in use. GAA-FETs offer significant advantages when it comes to transistor performance control – for most FinFET processes, foundries can offer several designs based on voltage and performance, but GAA-FET designs turn those discrete options into something more continuous. You might see these referred to as nanosheets, or nanowires.


From Samsung

As is perhaps to be expected, GAA-FET designs (and layered GAA-FETs) are more complex to build than FinFETs or planar transistors. The first GAA-FET demonstration was in 1986, and in 2006 a 3nm implementation was demonstrated. However, building it in a lab compared to building it at scale as part of a foundry process available to customers is a different scale of complexity. At a number of technical semiconductor conferences through 2018 and 2019, a number of design companies and foundry offerings have discussed GAA-FET or similar designs as part of their upcoming portfolio.

Most notably, Intel has mentioned that they will start using it within the next 5 years, which would put it around its 5nm-3nm node technologies.


Intel

Samsung has announced its intention to deliver its version, known as MBC-FETs, as part of its 3nm process node, expected to be in volume manufacturing by late 2021. In May 2019, the company released a statement that the first v0.1 version of its 3GAE PDK was ready for customers. Over a year later, we would expect this to be on track – the 2020 version of Samsung’s Foundry Forum, which was delayed due to COVID, should be happening later this year.


Samsung

As these sorts of transistors grow in use, we expect the range of sheet widths available to increase, as well as the number of stacked layers in a GAA design. CEA-Leti this year, at the 2020 Symposia on VLSI Technology and Circuits, demonstrated a 7-layer GAA-FET using nanosheets specifically for high-performance computing.


CEA-Leti

So what has happened with TSMC? As part of the Technology Symposium, it has stated that for its 3nm process technology it will remain with FinFETs. The company states that it has enabled a significant update to its FinFET technology to allow performance and leakage scaling through another iteration of its process node technology. TSMC’s N3 will use an extended and improved version on FinFET in order to extract additional PPA - up to 50% performance gain, up to 30% power reduction, and 1.7x density gain over N5. TSMC stated the predictability of FinFETs will help enable the company deliver the technology on an approved timescale.

This last statement is more than telling – if the development of FinFETs, now on its 3rd/4th/5th generation (depending on the foundry), has enabled a level of comfort and predictability that a first generation of GAA-FET cannot provide, then in order to satisfy its big customers (almost all leading-edge logic silicon), it has to keep to its cadence. That being said, there could be a chance for TSMC to offer GAA-FETs on different versions of its 3nm nodes in the future if it wishes, however the company has not made any public statements at this time to this effect, compared to Intel and Samsung.

As always with these technologies, the goal is to scale and bring some reality to wherever Moore’s Law is going. TSMC’s customers will have to wait until later to see if GAA-FETs can bring a more optimized flavor of performance to the table.

Related Reading

Comments Locked

37 Comments

View All Comments

  • FreckledTrout - Wednesday, August 26, 2020 - link

    I truly hope you are wrong. We don't need AMD to become the new Intel. We need Intel back in the game by 2022 or so.
  • RedOnlyFan - Thursday, August 27, 2020 - link

    Why wait for 2022. September 2nd should be the date you should be counting on.
    Guessing tigerlake will rip the paintings.
  • Spunjji - Thursday, August 27, 2020 - link

    Yes, its brief period in the sun as the highest-performing quad-core mobile CPU and iGPU will totally make up for their continuing inability to release superior products in all their other markers 🙄
  • albertmamama - Wednesday, August 26, 2020 - link

    That will be bad for competition...
  • Spunjji - Thursday, August 27, 2020 - link

    Nailed it.
  • Santoval - Wednesday, August 26, 2020 - link

    There was an implied "or" between the "performance gain" and the "up to 30% power reduction" in that sentence that was left unstated, maybe because by now AnandTech readers in particular should well know that this is a case of "or", *never* (I'm referring to the period after 2005/2006, i.e. after Dennard scaling collapsed and Koomey's law started slowing down) of "and".
  • s.yu - Thursday, August 27, 2020 - link

    Yeah I've been expecting that it would be "or", but I still believe reportage should be accurate.
  • Spunjji - Thursday, August 27, 2020 - link

    I do feel like the "up to" indicates that it's a variable, but yes, being explicit does no harm.
  • kpaczari - Wednesday, August 26, 2020 - link

    typo:
    planar resistors to FinFET transistors -> planar transistors
  • ishould - Wednesday, August 26, 2020 - link

    At some point the industry is going to need to switch to pico-meter suffixes instead, if only to differentiate between "nodes". 3nm with finfet is going to be less dense than with gaafet, but 300pm with finfet and 250pm with gaafet makes it more clear

Log in

Don't have an account? Sign up now