Between the smartphone revolution, cloud computing, and the Internet of Things, the demand for cutting-edge chips has never been higher. And if you have any doubts about that, then one only needs to look at the record-breaking sales of the equipment used to fab those chips. ASML, the leader in the field for photolithography, announced this week its financial results for the third quarter, revealing that its sales, profits, and net bookings all topped expectations, as the company received orders for 23 extreme ultraviolet lithography (EUVL) tools.

ASML’s revenues in Q3 2019 totaled €2.987 billion ($3.3 billion), with a net income of €627 million ($692.7 million). During the quarter, ASML sold 45 DUV and seven EUV lithography systems, as well as five used lithography systems. In total, the company sold 136 step-and-scan tools this year so far, of which 18 were EUV tools. In Q4, the company intends to ship eight EUV step-and-scan systems, bringing the total for 2019 to 30 EUV systems.

ASML’s biggest bump in the third quarter came from a total of 23 orders for Twinscan NXE EUV systems from various customers. Combined with other bookings, ASML’s net bookings totaled €5.111 billion in Q3, the company’s highest ever for a single quarter. It is particularly noteworthy that ASML reaffirmed that it expects its EUV tools to be adopted by both logic makers (i.e., CPUs, GPUs, etc.) and memory manufacturers.

As of July 2018, there were 31 EUV scanners installed at various fabs across the world, including several machines in various semiconductor research organizations. With 10 systems sold in H2 2018 and 18 EUV scanners installed this year, the number of EUV lithography tools used in the world is approaching 60 units. Of course, the number is considerably behind the number of DUV step-and-scan systems used nowadays, but it is clear that extreme ultraviolet lithography is gradually getting more widespread, and that demand is continuing to increase.

Officially, only TSMC and Samsung Foundry use ASML’s Twinscan NXE scanners to make chips using their N7+ and 7LPP manufacturing technologies. Both contract makers of semiconductors will expand their usage of EUV tools as they adopt new fabrication processes. Furthermore, Intel will use EUVL for its 7 nm technology that will be deployed across multiple fabs through 2021. Furthermore, companies like Samsung and SK Hynix are mulling the use of EUVL for DRAM production.

Here is what Peter Wennink, CEO of ASML, had to say:

“For the remainder of the year, we expect Logic to continue to be strong, driven by the leading-edge nodes supporting end-market technology and applications such as 5G and artificial intelligence. The timing of Memory recovery remains uncertain. We continue to make solid progress in EUV. Customers have introduced their first EUV manufactured devices and we have seen EUV mentioned in product announcements. In the third quarter, we shipped seven EUV systems, three of which were NXE:3400C, our higher productivity model. We received 23 EUV orders in the third quarter which contributes to our highest ever value of bookings in one quarter. This strong order flow confirms the adoption of EUV in high volume manufacturing for Logic and Memory. Our overall view for 2019 is essentially unchanged as we continue to see 2019 as a growth year.”

Related Reading:

Source: ASML

Comments Locked

46 Comments

View All Comments

  • The_Assimilator - Thursday, October 17, 2019 - link

    Fabricating microcircuits at sub-20nm levels is challenging; at sub-10nm it's an order of magnitude more difficult. More difficult = more expensive, and companies that design chips don't have enough money to spend on both design and fab, so they'd rather focus on their core competency and farm out the fabbing to an expert in the field who will do it better and cheaper.

    After AMD and IBM sold their fabs off to GloFo, Intel and Samsung are the only notable companies with in-house foundries, and I mostly discount Samsung because the majority of their design and manufacture is dedicated to the relatively simple DRAM and NAND and a lot of their foundry tech is licensed from TSMC. Intel, the former king of vertical integration, has been struggling to get its in-house 10nm node working correctly for over *half a decade*, AKA an epoch in semiconductor timescales, which is a massive blow to the vertical integration argument. Particularly since the firms that walked away from vertical (AMD) or never had it (Apple et al) are doing so well.

    As for ASML, it has been a market leader for over 3 decades and it simply invested more time and money into EUV tech than its competitors, which has allowed it to effectively become the only game in town for EUV. That doesn't mean there is no room for other companies that can developer faster, simpler, better, cheaper processes for fabbing on larger nodes, but what it does mean is that ASML is at the forefront of bleeding-edge semiconductor fabrication, and as such its name is naturally going to feature heavily on tech websites.

    Oh, and Intel also owns a 15% stake in ASML, which should tell you just how highly esteemed the former is in tech circles. ASML is a de facto monopoly simply because it's better than everyone else; it's really difficult to compete with the best.
  • s.yu - Thursday, October 17, 2019 - link

    China now claims to have developed DUV that could be used for memory at ~10nm level...
  • zmatt - Thursday, October 17, 2019 - link

    It's also worth noting that EUV turned out to be much harder than anyone had imagined. EUV was for a long time like practical fusion, "just 5 years away". Except it was 5 years away for 20 years.
  • The_Assimilator - Friday, October 18, 2019 - link

    And unlike fusion, EUV actually finally happened ;)
  • GreenReaper - Friday, October 18, 2019 - link

    Well, profitable fusion has always been ten to fifteen years away.
  • Eliadbu - Monday, October 21, 2019 - link

    You have no idea how difficult it was to make EUV work in high volume production, I'm surprised they actually did it and did not abandon it for some other tech. Let say the physics and complexity "just work against you" on this wavelength.
  • FullmetalTitan - Thursday, October 17, 2019 - link

    Not sure where you picked up that Samsung licenses from TSMC, but I sure never saw that news. AFAIK neither group shares IP with the other, and they are direct competitors in the foundry business.
  • The_Assimilator - Friday, October 18, 2019 - link

    Mea culpa - Samsung licensed GloFo's tech, *not* TSMC's. Thanks for the correction!
  • The_Assimilator - Friday, October 18, 2019 - link

    Mea culpa - Samsung licensed GloFo's tech, *not* TSMC's. Thanks for the correction!
  • Trikkiedikkie - Friday, October 18, 2019 - link

    Many people did not get the bit of news that Intel sold most of the shares they had in ASML. They are now somewhere below 5 %

Log in

Don't have an account? Sign up now